Programming Interface Information: This information is NOT intended to be
used as Programming Interfaces of VM/ESA. It is to be used for diagnosis only.

CSSENTRY

Prolog  

Control Block Contents  

CSSENTRY DSECT

Storage Layout  

Cross Reference (Contains links to field and bit definitions)  

CSSENTRY Prolog

 NAME       : HCPCSSBK
 DESCRIPTION: IUCV System service attribute table map
 DSECT      : CSSENTRY
 FUNCTION   : This control block defines the IUCV system service
              attributes for system services as represented by the
              HCPIUGCS table.
 LOCATED BY :
              - HCPIUGCS entry point
 CREATED BY :
              - Defined in HCPIUG
 DELETED BY :
              - N/A

 

CSSENTRY Control Block Content


CSSENTRY DSECT

Hex Dec Type/Val Lng Label (dup) Comments ---- ---- --------- ---- -------------- -------- 0000 0 Structure CSSENTRY IUCV System service attribute table map 0000 0 Dbl-Word 8 CSSNAME CP system service name 0008 8 Bitstring 1 CSSCODE CP system service code (IPCPSYS contains valid values) 0009 9 Bitstring 1 CSSFLAG CP system service flag 1... .... CSSALLOW X'80' ALLOW = YES .1.. .... CSSPRTY X'40' PRIORITY = YES ..1. .... CSSSYNC X'20' SYNC = YES ...1 .... CSSDIST X'10' DIST = YES 000A 10 Bitstring 2 CSSLIMIT Number of messages a virtual machine can have outstanding to a CSS per path at one time. .... 1.1. CSSLIMDF X'A' CSSLIMIT = 10 000C 12 Signed 4 * (0) Align on fullword boundary 0000000C CSSFUNST * Start of functions 000C 12 Signed 4 * CONNECT entry point 00000004 CSSFUNEL *-CSSFUNST Function entry point length 0010 16 Signed 4 * SEND Entry point 0014 20 Signed 4 * SEVER Entry point 0018 24 Signed 4 * QUIESCE Entry point 001C 28 Signed 4 * RESUME Entry point 00000004 CSSHIFUN ((*-CSSFUNST)/CSSFUNEL)-1 Number of CSS functions - 1 0020 32 Signed 4 CSSIUCVB IUCVB address 0024 36 Signed 2 CSSMAXPA Maximum number of paths the CSS may have at one time 0026 38 Signed 2 * Reserved 0028 40 Dbl-Word 8 * (0) Align on doubleword boundary 0028 40 Dbl-Word 8 CSSLOCK (3) Lock semaphore 00000040 CSSENTL *-CSSENTRY Entry length End of the CSSENTRY DSECT

 

CSSENTRY Storage Layout

*** CSSENTRY - IUCV System service attribute table map
*
*     +-------------------------------------------------------+
*   0 |                       CSSNAME                         |
*     +------+------+-------------+---------------------------+
*   8 |:CODE |:FLAG |  CSSLIMIT   |///////////////////////////|
*     +------+------+-------------+---------------------------+
*  10 |///////////////////////////|///////////////////////////|
*     +---------------------------+---------------------------+
*  18 |///////////////////////////|///////////////////////////|
*     +---------------------------+-------------+-------------+
*  20 |         CSSIUCVB          |  CSSMAXPA   |/////////////|
*     +---------------------------+-------------+-------------+
*  28 |                                                       |
*     =                       CSSLOCK                         =
*     |                                                       |
*     +-------------------------------------------------------+
*  40
*
*** CSSENTRY - IUCV System service attribute table map

 

CSSENTRY Cross Reference

(contains links to field and bit definitions)
Symbol         Dspl Value
-------------- ---- -----

CSSALLOW 0009 80

CSSCODE 0008

CSSDIST 0009 10

CSSENTL 0028 00000040

CSSFLAG 0009

CSSFUNEL 000C 00000004

CSSFUNST 000C 0000000C

CSSHIFUN 001C 00000004

CSSIUCVB 0020

CSSLIMDF 000A 0A

CSSLIMIT 000A

CSSLOCK 0028

CSSMAXPA 0024

CSSNAME 0000

CSSPRTY 0009 40

CSSSYNC 0009 20


This information is based on VM/ESA 2.4.0.
Last updated on 3 Aug 1999 at 11:48:58 EDT.
Copyright IBM Corporation, 1990, 1999